打开APP
userphoto
未登录

开通VIP,畅享免费电子书等14项超值服

开通VIP
脚本运行modelsim(转载)
一个在系统和IC中迷茫的猪头

脚本运行modelsim(转载)

上一篇 / 下一篇  2009-06-20 19:12:49 / 个人分类:modelsim

http://blog.ednchina.com/FreeEDA/146183/message.aspx,挺不错的,都是常用modelsim + verdi的使用,转载下,不过好像是windows下的脚本使用,windows下可以是用bat来管理vsim更快,进入modelsim后简单的直接do就可以

标签: 无标签

脚本方式运行Modelsim

modelsim 可以写成.tcl 文件,然后在modelsim中source即可。

***********************************************************************************************************
set path D:/Work/
cd $path/script
vlib work
vmap work work
source compile.tcl
vsim -coverage +notimingcheck +nospecify work.testbench                       
view source
view structure
view signals
run 100ns
stop
***********************************************************************************************************

compile.tcl:
***********************************************************************************************************
vlog -reportprogress 300 -work work {../../code/1.v} -cover bcesx
vlog -reportprogress 300 -work work {../../code/2.v} -cover bcesx

刚才在网上找到了解决办法,试了一下没有问题,有两种办法设置PLI
1. 把文件 C:\Novas\Debussy\share\PLI\modelsim_pli\WINNT\novas.dll 复制到如路径下 C:\modelsim\win32\
然后在路径 C:\modelsim\ 下修改文件 modelsim.ini,  在如下语句:
; List of dynamically loaded objects for Verilog PLI applications
; Veriuser = veriuser.sl
后面加入语句  Veriuser = novas.dll
2. 不复制文件,直接在路径 C:\modelsim\ 下修改文件 modelsim.ini, 加入
Veriuser = C:\Novas\Debussy\share\PLI\modelsim_pli\WINNT\novas.dll

以上所有路径均与安装路径有关,请注意!

dump 波形的方法就是在testbench里加入如下语句,设置好文件名,dump的深度,top module,然后使用modelsim跑起来即可

initial
begin
$fsdbDumpfile("1.fsdb");
$fsdbDumpvars(0, testbench);
end



本站仅提供存储服务,所有内容均由用户发布,如发现有害或侵权内容,请点击举报
打开APP,阅读全文并永久保存 查看更多类似文章
猜你喜欢
类似文章
【热】打开小程序,算一算2024你的财运
debussy和modelsim协同仿真(VHDL)
Modelsim初级使用教程(转)
Modelsim 编译Xilinx标准库文件
在Quartus中使用Modelsim SE_FPGA4FUN
Verilog PLI在modelsim中的基本使用方法
Qsys与uC/OS学习笔记2:系统仿真
更多类似文章 >>
生活服务
热点新闻
分享 收藏 导长图 关注 下载文章
绑定账号成功
后续可登录账号畅享VIP特权!
如果VIP功能使用有故障,
可点击这里联系客服!

联系客服