打开APP
userphoto
未登录

开通VIP,畅享免费电子书等14项超值服

开通VIP
格雷码与二进制码的互转
       在数字系统中只能识别0和1,各种数据要转换为二进制代码才能进行处理,格雷码是一种无权码,采用绝对编码方式,典型格雷码是 一种具有反射特性和循环特性的单步自补码,它的循环、单步特性消除了随机取数时出现重大误差的可能,它的反射、自补特性使得求反非常方便。格雷码属于可靠 性编码,是一种错误最小化的编码方式,因为,自然二进制码可以直接由数/模转换器转换成模拟信号,但某些情况,例如从十进制的3转换成4时二进制码的每一 位都要变,使数字电路产生很大的尖峰电流脉冲。而格雷码则没有这一缺点,它是一种数字排序系统,其中的所有相邻整数在它们的数字表示中只有一个数字不同。它在任意两个相邻的数之间转换时,只有一个数位发生变化。它大大地减少了由一个状态到下一个状态时逻辑的混淆。另外由于最大数与最小数之间也仅一个数不同,故通常又叫格雷反射码循环码

         Gray Code是由贝尔实验室的Frank Gray在20世纪40年代提出的(是1880年由法国工程师Jean-Maurice-Emlle Baudot发明的),用来在使用PCM(Pusle Code Modulation)方法传送讯号时避免出错,并于1953年3月17日取得美国专利。由定义可知,Gray Code的编码方式不是唯一的,这里讨论的是最常用的一种。


二进制格雷码与自然二进制码的互换

1、自然二进制码转换成二进制格雷码
自然二进制码转换成二进制格雷码,其法则是保留自然二进制码的最高位作为格雷码的最高位,而次高位格雷码为二进制码的高位与次高位相异或,而格雷码其余各位与次高位的求法相类似。
例如:
自然二进制编码如下:
1001
那么转换为格雷码的方法是:保留最高位1,然后将第二位0与第一位1做异或操作,第三位的0与第二位的0做异或操作,第四位的1与第三位的0做异或操作,得到结果如下:
1 1   0   1   Gray


2、二进制格雷码转换成自然二进制码
二进制格雷码转换成自然二进制码,其法则是保留格雷码的最高位作为自然二进制码的最高位,而次高位自然二进制码为高位自然二进制码次高位格雷码相异或,而自然二进制码的其余各位与次高位自然二进制码的求法相类似。
例如将格雷码1000转换为自然二进制码:

1000
1111
上排为格雷码,下排为自然二进制,从左到右分别为1~4位
将上排的第一位高位作为自然二进制的最高位,因此在下排的第一位填入1,然后以上排第二位与下排第一位做异或操作,得到下排第二位结果为1,将上排第三位与下排第二位做异或操作,得到下排第三位的结果为1,同理,下排第四位的结果为1,因此,我们得到了转换结果 如下:
1   1   1   1   Bin



static unsigned int decimal2gray(unsigned int x)
       {
          return x^(x
>>1);
       }


static unsigned int gray2decimal(unsigned int x)
       {
          unsigned int y = x;
          while(x>>=1)
           y ^= x;
          return y;
       }

另外一种实现:
       二进制码->格雷码(编码):从最右边一位起,依次将每一位与左边一位异或(XOR),作为对应格雷码该位的值,最左边一位不变(相当于左边是0);
       格雷码-〉二进制码(解码):从左边第二位起,将每位与左边一位解码后的值异或,作为该位解码后的值(最左边一位依然不变).

      数学(计算机)描述及C语言实现:
      原码:p[0~n];格雷码:c[0~n](n∈N);编码:c=G(p);解码:p=F(c);书写时从左向右标号依次减小.

(1)编码:c[i]=p[i] XOR p[i+1](i∈N,0≤i≤n-1),c[n]=p[n];
   
具体代码如下:
-------------------------
u16 bin2gray(u16 bin)
{
   
u16 mask=0x0002;

    while(mask!=0x8000){
        bin ^= (bin&mask)>>1;
        mask<<=1;
    }
    return bin;
}
-------------------------
     
(2)解码:p[n]=c[n],p[i]=c[i] XOR p[i+1](i∈N,0≤i≤n-1).

具体代码如下:
--------------------------
u16 gray2bin(u16 gray)
{
    u16 mask=0x8000;

    while(mask!=0x0001){
        gray ^= (gray&mask)>>1;
        mask>>=1;
    }
    return gray;
}
本站仅提供存储服务,所有内容均由用户发布,如发现有害或侵权内容,请点击举报
打开APP,阅读全文并永久保存 查看更多类似文章
猜你喜欢
类似文章
【热】打开小程序,算一算2024你的财运
格雷码与二进制的相互转换 Verilog实现
异或 ^ 的几个作用
格雷码原理与Verilog实现
排列组合算法1:生成全部有序列b
二进制格雷码与自然二进制码的互换.(Verilog)
异步FIFO介绍
更多类似文章 >>
生活服务
热点新闻
分享 收藏 导长图 关注 下载文章
绑定账号成功
后续可登录账号畅享VIP特权!
如果VIP功能使用有故障,
可点击这里联系客服!

联系客服