打开APP
userphoto
未登录

开通VIP,畅享免费电子书等14项超值服

开通VIP
单口RAM、伪双口RAM、双口RAM与FIFO的区别

单口RAM、伪双口RAM、双口RAM与FIFO的区别  


2011-10-22 13:54:43|  分类: FPGA学习 |  标签:fifo  fpga  |举报|字号 订阅


    
下载LOFTER客户端
       FPGA设计中,常用到的数据缓存IP有FIFO和RAM,其中RAM又分单口RAM、伪双口RAM、双口RAM。
       单口与双口的区别在于,单口只有一组数据线与地址线,因此读写不能同时进行。而双口有两组数据线与地址线,读写可同时进行。FIFO读写可同时进行,可以看作是双口。
       双口RAM分伪双口RAM(Xilinx称为Simple two-dual RAM)与双口RAM(Xilinx称为true two-dual RAM)。伪双口RAM,一个端口只读,另一个端口只写;而双口RAM两个端口都可以读写。
       FIFO也是一个端口只读,另一个端口只写。FIFO与伪双口RAM的区别在于,FIFO为先入先出,没有地址线,不能对存储单元寻址;而伪双口RAM两个端口都有地址线,可以对存储单元寻址。
       异步时钟域的缓存只要是双口器件都可以完成。但FIFO不需对地址进行控制,是最方便的。
本站仅提供存储服务,所有内容均由用户发布,如发现有害或侵权内容,请点击举报
打开APP,阅读全文并永久保存 查看更多类似文章
猜你喜欢
类似文章
【热】打开小程序,算一算2024你的财运
一天一个设计实例-RAM、ROM模块程序设计
IC设计基础系列之CDC篇3:揭秘《跨时钟域处理》三大方法
基于FPGA的LCoS驱动及图像FFT变换系统设计
FPGA/CPLD设计的几个常用技巧
【精品博文】FPGA内部基本结构
fpga+dp83848实现百兆网络高速数据实时采集
更多类似文章 >>
生活服务
热点新闻
分享 收藏 导长图 关注 下载文章
绑定账号成功
后续可登录账号畅享VIP特权!
如果VIP功能使用有故障,
可点击这里联系客服!

联系客服