打开APP
userphoto
未登录

开通VIP,畅享免费电子书等14项超值服

开通VIP
经典半导体制造工艺PPT(2000页)

第一章 半导体产业介绍

1. 什么叫集成电路?写出集成电路发展的五个时代及晶体管的数量?(15)

集成电路:将多个电子元件集成在一块衬底上,完成一定的电路或系统功能。

集成电路         芯片/元件数          产业周期

无集成           1                    1960年前 

小规模(SSI)      2到50                20世纪60年代前期  

中规模(MSI)      50到5000             20世纪60年代到70年代前期

大规模(LSI)      5000到10万           20世纪70年代前期到后期 

超大规模(VLSI)   10万到100万          20世纪70年代后期到80年代后期

甚大规模(ULSI)   大于100万            20世纪90年代后期到现在

2. 写出IC 制造的5个步骤?(15)

 Wafer preparation(硅片准备)

 Wafer fabrication (硅片制造)

 Wafer test/sort (硅片测试和拣选)

 Assembly and packaging (装配和封装)

 Final test(终测)

3. 写出半导体产业发展方向?什么是摩尔定律?(15)

发展方向:提高芯片性能——提升速度(关键尺寸降低,集成度提高,研发采用新材料),降低功耗。

提高芯片可靠性——严格控制污染。

降低成本——线宽降低、晶片直径增加。

摩尔定律指:IC 的集成度将每隔一年翻一番。

1975年被修改为: IC 的集成度将每隔一年半翻一番。

4. 什么是特征尺寸CD(10)

最小特征尺寸,称为关键尺寸(Critical Dimension,CD)CD常用于衡量工艺难易的标志。 

 

5. 什么是More moore定律和More than Moore定律?(10)

More Moore指的是芯片特征尺寸的不断缩小。

从几何学角度指的是为了提高密度、性能和可靠性在晶圆水平和垂直方向上的特征尺寸的继续缩小。

 与此关联的3D结构改善等非几何学工艺技术和新材料的运用来影响晶圆的电性能。

More Than Moore指的是用各种方法给最终用户提供附加价值,不一定要缩小特征尺寸如从系统组件级向3D集成或精确的封装级(SiP)或芯片级(SoC)转移。 

 

6. 名词解释:high-k; low-k; Fabless; Fablite; IDM; Foundry;Chipless(20)

high-k:高介电常数。

low-k:低介电常数。

FablessIC 设计公司,只设计不生产。

Fablite:轻晶片厂,有少量晶圆制造厂的IC公司。

IDM:集成器件制造商 (IDM-Integrated Device Manufactory Co.),从晶圆之设计、制造到以自有品牌行销全球皆一手包办。

Foundry:标准工艺加工厂或称专业代工厂商。

Chipless:既不生产也不设计芯片,而是设计IP内核,授权给半导体公司使用。

7. 例举出半导体产业的8 不同职业 并简要描述. (15)

1.硅片制造技师:负责操作硅片制造设备。一些设备维护以及工艺和设备的基本故障查询。

2.设备技师:查询故障并维护先进设备系统,保证在硅片制造过程中设备能正确运行。

3.设备工程师:从事确定设备设计参数和优化硅片生产的设备性能。

4.工艺工程师:分析制造工艺和设备的性能以确定优化参数设置。

5.实验室技师:从事开发实验室工作,建立并进行试验。

6:成品率/失效分析技师:从事与缺陷分析相关的工作,如准备待分析的材料并操作分析设备以确定在硅片制造过程中引起问题的根源。

7.成品率提高工程师:收集并分析成品率及测试数据以提高硅片制造性能。

8.设施工程师:为硅片制造厂的化学材料、净化空气及常用设备的基础设施提供工程设计支持。

 

 

 

 

第二章 半导体材料特性 第五章 半导体制造中的化学品 第六章 硅片制造中的玷污控制

 

1.最通常的半导体材料是什么?该材料使用最普遍的原因是什么?(第二章)(10分)

答:最通常的半导体材料是硅。原因:1.硅的丰裕度;2.更高的融化温度允许更高的工艺容限;3.更宽的工作温度范围;4.氧化硅的自然生成.

 

2.砷化镓相对于硅的优点是什么?(第二章)(5分)

答:砷化镓具有比硅更高的电子迁移率,因此多数载流子也移动得比硅中的更快。砷化镓也有减小寄生电容和信号损耗的特性。这些特性使得集成电路的速度比由硅制成的电路更快。GaAs器件增进的信号速度允许它们在通信系统中响应高频微波信号并精确地把它们转换成电信号。硅基半导体速度太慢以至于不能响应微波频率。砷化镓的材料电阻率更大,这使得砷化镓衬底上制造的半导体器件之间很容易实现隔离,不会产生电学性能的损失。

 

3.描述在硅片厂中使用的去离子水的概念。(第五章)(5分)

答:去离子水:在半导体制造过程中广泛使用的溶剂,在它里面没有任何导电的离子。DI WaterPH值为7,既不是酸也不是碱,是中性的。它能够溶解其他物质,包括许多离子化合物和供价化合物。当水分子(H2O)溶解离子化合物时,它们通过克服离子间离子键使离子分离,然后包围离子,最后扩散到液体中。

 

4.例举出硅片厂中使用的五种通用气体。(第五章)(5分)

答:氧气(O2)、氩气(Ar)、氮气(N2)、氢气(H2)和氦气(He)

 

5.对净化间做一般性描述。(第六章)(10分)

答:净化间是硅片制造设备与外部环境隔离,免受诸如颗粒、金属、有机分子和静电释放(ESD)的玷污。一般来讲,那意味着这些玷污在最先进测试仪器的检测水平范围内都检测不到。净化间还意味着遵循广泛的规程和实践,以确保用于半导体制造的硅片生产设施免受玷污。

 

6.什么是硅片的自然氧化层?由自然氧化层引起的三种问题是什么?(第六章)(10分)

答:自然氧化层:如果曝露于室温下的空气或含溶解氧的去离子水中,硅片的表面将被氧化。这一薄氧化层称为自然氧化层。硅片上最初的自然氧化层生长始于潮湿,当硅片表面暴露在空气中时,一秒钟内就有几十层水分子吸附在硅片上并渗透到硅表面,这引起硅表面甚至在室温下就发生氧化。自然氧化层引起的问题是:①将妨碍其他工艺步骤,如硅片上单晶薄膜的生长和超薄氧化层的生长。②另一个问题在于金属导体的接触区,如果有氧化层的存在,将增加接触电阻,减少甚至可能阻止电流流过。③对半导体性能和可靠性有很大的影响

 

7.例举硅片制造厂房中的7种玷污源。(第六章)(10分)

答:硅片制造厂房中的七中沾污源:(1)空气:净化级别标定了净化间的空气质量级别,它是由净化室空气中的颗粒尺寸和密度表征的;(2)人:人是颗粒的产生者,人员持续不断的进出净化间,是净化间沾污的最大来源;(3)厂房:为了是半导体制造在一个超洁净的环境中进行,有必要采用系统方法来控制净化间区域的输入和输出;(4)水:需要大量高质量、超纯去离子水,城市用水含有大量的沾污以致不能用于硅片生产。去离子水是硅片生产中用得最多的化学品(5)工艺用化学品:为了保证成功的器件成品率和性能,半导体工艺所用的液态化学品必须不含沾污;(6)工艺气体:气体流经提纯器和气体过滤器以去除杂质和颗粒;(7)生产设备:用来制造半导体硅片的生产设备是硅片生产中最大的颗粒来源。

8.解释空气质量净化级别。(第六章)(5分)

答:净化级别标定了净化间的空气质量级别,它是由净化室空气中的颗粒尺寸和密度表征的。这一数字描绘了要怎样控制颗粒以减少颗粒玷污。净化级别起源于美国联邦标准2009.如果净化间级别仅用颗粒数来说明,例如1级净化间,则只接受10.5um的颗粒。这意味着每立方英尺中尺寸等于或大于0.5um的颗粒最多允许一个。

 

9.描述净化间的舞厅式布局。(第六章)(10分)

答:净化间的舞厅式布局为大的制造间具有10000级的级别,层流工作台则提供一个100级的生产环境。

 

10.解释水的去离子化。在什么电阻率级别下水被认为已经去离子化?(第六章)(10分)

答:用以制造去离子水的去离子化过程是指,用特制的离子交换树脂去除电活性盐类的离子。18MΩ-cm电阻率级别下水被认为已经去离子化。

 

11.描述RCA清洗工艺。(第六章)(10分)

答:工业标准湿法清洗工艺称为RCA清洗工艺,由美国无线电公司(RCA)于20世纪60年代提出。RCA湿法清洗由一系列有序的浸入两种不同的化学溶液组成:1号标准清洗液(SC-1)和2号标准清洗液(SC-2)。SC-1的化学配料为NH4OH/H2O2/H2O这三种化学物按1:1:5127的配比混合,它是碱性溶液,能去除颗粒和有机物质,SC-1湿法清洗主要通过氧化颗粒或电学排斥起作用。SC-2的组分是HCL/H2O2/H2O,116128的配比混合,用于去除硅片表面的金属。改进后的RCA清洗可在低温下进行,甚至低到45摄氏度

 

12.例出典型的硅片湿法清洗顺序。(第六章)(10分)

硅片清洗步骤:(1)H2SO4/H2O2(piranha):有机物和金属;(2)UPW清洗(超纯水):清洗;(3)HF/H2O(稀HF:自然氧化层;(4)UPW清洗:清洗;(5)NH4OH/H2O2/H2O(SC-1):颗粒;(6)UPW清洗:清洗;(7)HF/H2O:自然氧化层;(8)UPW清洗:清洗;(9)HCL/H2O2/H2O(SC-2):金属;(10)UPW清洗:清洗;(11)HF/H2O:自然氧化层;(12)UPW清洗:清洗;(13)干燥:干燥

 

 

 

 

 

 

第三章 器件技术基础

1.按构成集成电路基础的晶体管分类可以将集成电路分为哪些类型?每种类型各有什么特征?(40分)

答:分为三种,双极集成电路,MOS集成电路,双极-MOSBiMOS)集成电路。

双极集成电路:采用的有源器件是双极晶体管,特点:速度高,驱动能力强,但功耗大,集成能力低。

MOS集成电路:采用的有源器件是MOS晶体管,特点:输入阻抗高,抗干扰能力强,功耗小,集成度高。

双极-MOSBiMOS)集成电路:同时包含双极和MOS晶体管,特点:综合了速度高,驱动能力强,抗干扰能力强,功耗小,集成度高的优点,但制造工艺复杂。

 

2. 什么是无源元件?例举出两个无源元件的例子。什么是有源元件?例举出两个有源元件的例子。(30分)

答:无源元件:在不需要外加电源的条件下,就可以显示其特性的电子元件。这些元件无论如何和电源相连,都可以传输电流。如电阻,电容。

有源元件:内部有电源存在,不需要能量的来源而实行它特定的功能,而且可以控制电流方向,可放大信号。如二极管,晶体管。

 

3. 什么是CMOS技术?什么是 ASIC?(30

答:CMOS(互补型金属氧化物半导体)技术:将成对的金属氧化物半导体场效应晶体管(MOSFET)集成在一块硅片上。使集成电路有功耗低,工作电压范围宽,逻辑摆幅大,使电路抗干扰能力强,隔离栅结构使CMOS器件的输入电阻极大,从而使CMOS期间驱动同类逻辑门的能力比其他系列强得多。

ASIC:(Application Specific Integrated Circuits)专用集成电路,是指应特定用户要求或特定电子系统的需要而设计、制造的集成电路。优点是:体积小,重量轻,功耗低,可靠性好,易于获得高性能,保密性好,大批量应用时显著降低成本。

 

 

 

 

第四章 硅和硅片制备

1.例举得到半导体级硅的三个步骤。半导体级硅的纯度能达到多少?(50分)

第一步:用碳加热硅石来制备冶金级硅

第二步:通过化学反应将冶金级硅提纯以生成三氯硅烷

第三步:利用西门子方法,通过三氯硅烷和氢气反应来生产半导体级硅

纯度能达到99.99999999%

2. 将圆柱形的单晶硅锭制备成硅片需要哪些工艺流程?(30分)

整形处理,切片,磨片和倒角,刻蚀,抛光,清洗,硅片评估,包装

3.什么是外延层?为什么硅片上要使用外延层?(20分)

外延层是指在硅的外延中以硅基片为籽晶生长一薄膜层,新的外延层会复制硅片的晶体结构,并且结构比原硅片更加规则。外延为器件设计者在优化器件性能方面提供了很大的灵活性,例如可以控制外延层掺杂厚度、浓度、轮廓,而这些因素与硅片衬底无关的,这种控制可以通过外延生长过程中的掺杂来实现。外延层还可以减少CMOS器件中的闩锁效应。

 

 

 

第七章 测量学和缺陷检查 第八章 工艺腔中的气体控制 第十九章 硅片测试 第二十章 装配与封装

1..给出半导体质量测量的定义。例出在集成电路制造中12种不同的质量测量(第七章)(10分)

半导体质量测量定义了硅片制造的规范要求,以确保满足器件的性能和可靠性。  集成电路制造中的12种不同的质量测量:1.膜厚2.方块电阻3.膜应力4.折射率5.掺杂浓度6.无图形表面缺陷7.有图形表面缺陷8.关键尺寸9.台阶覆盖 10.套刻标记11.电容-电压特性12.接触的角度

 

2.硅片关键尺寸测量的主要工具是什么?(第七章)(5分)

硅片关键尺寸测量的主要工具是扫描电子显微镜(SEM),它能放大10万到30万倍,这明显高于光学显微镜,用扫描电子显微镜观测硅片的横截面部分能提供缺陷的信息,常与其他分析技术结合使用,如EDXFIB

 

3.解释投射电子能显微镜。(第七章)(10分)

TEM把加速和聚集的电子束投射到非常薄的样品上,电子与样品中的电子碰撞而电子与样品中的原子的碰撞而改变方向,从而产生立体角散射,散射角的大小与样品的密度、厚度有关,因此可以形成明暗不同的影像。TEM是惟一定量测量硅片上一些非常小特征尺寸的测量工具

 

4.例出并描述4种真空范围。(第八章)(5分)

四种真空范围:(1)低级真空:气流主要是由分子间碰撞产生的(也称滞留),压强高得足以机械型压力测量仪测量。(2)中级真空:范围是1托到10e-3托。(3)高级真空:气体分子间很少有碰撞。(4)超高级真空:是高级真空的延伸,通过对真空腔的设计和材料的严格控制尽量减少不需要的气体成分。 

 

5.给出使用初级泵和真空泵的理由。(第八章)(5分)

答:当真空里的压强减低时,气体分子间的空间加大了,这成为气体流过系统及在工艺腔内产生等离子体的重要因素。而初级泵可以去除腔内99.99%的原始空气或其他成分,高级真空泵用来获得压力范围10e-3托到10e-9托的高级和超高级真空。

 

6.例举并描述IC生产过程中的5种不同电学测试。(第十九章)(5分)

答:IC生产过程中的5种不同电学测试:(1IC设计验证:描述、调试和检验新的芯片设计,保证符合规格要求,是在生产前进行的。(2)在线参数测试:为了监控工艺,在制作过程的早期(前端)进行的产品工艺检验测试。在硅片制造过程中进行。(3)硅片拣选测试(探针):产品功能测试,验证每一个芯片是否符合产品规格。在硅片制造后进行。(4)可靠性:集成电路加电并在高温下测试,以发现早期失效(有时候,也在在线参数测试中进行硅片级的可靠性测试)。在封装的IC进行。(5)终测:使用产品规格进行的产品功能测试。在封装的IC进行。

 

7.例举并解释5个进行在线参数测试的理由。(第十九章)(5分)

答:五个进行在线参数测试的理由为:(1)鉴别工艺问题:硅片制造过程中工艺问题的早期鉴定(而不是等到已经完成了硅片制造才发现有问题进行测试。(2)通过/失效标准:依据通过/失效标准决定硅片是否继续后面的制造程序。(3)数据收集:为了改进工艺,收集硅片数据以评估工艺倾向(如沟道长度的改变)。(4)特殊测试:在需要的时候评估特殊性能参数(如特殊客户需求)。(5)硅片级可靠性:需要确定可靠性与工艺条件的联系时,进行随机的硅片级可靠性测试

 

8.什么是IC可靠性?什么是老化测试?(第十九章)(10分)

IC可靠性是指器件在其预期寿命内,在其使用环境中正常工作的概率,换句话说就是集成电路能正常使用多长时间。老化测试在很苛刻的环境中(如吧温度提高到85℃,提高偏置电压)给芯片加电并测试,使不耐用的器件失效,从而避免它们被交给客户),这种测试能够产生更可靠的集成电路,但往往需要长时间的测试,十几甚至数百小时,这是一种费钱耗时的工作

 

9.例举在线参数测试的4个主要子系统。(第十九章)(5分)

在线参数测试的4个主要子系统为:(1)探针卡接口:是自动测试仪与待测器件之间的接口。(2)硅片定位:为测试硅片,首先要确与探针接触的硅片的探针仪位置。(3)测试仪器:高级集成电路需要能够在测试结构上快速、准确、重复地测量亚微安级电流和微法级电容的自动测试设备,它控制测试过程(4)作为网络主机或客户机的计算机:指导测试系统操作的计算机包括测试软件算法、自动测试设备、用于硅片定位的探查控制软件、测试数据的保存和控制、系统校准和故障诊断。

 

10.例举并描述硅片拣选测试中的三种典型电学测试(第十九章)(5分)

硅片拣选测试中的三种典型电学测试:(1DC测试:第一电学测试是确保探针和压焊点之间良好电学接触的连接性检查。这项检查保证了技术员的测试仪安装正常。(2)输出检查:硅片挑选测试用来测试输出信号以检验芯片性能。主要验证输出显示的位电平(逻辑“1”或高电平,逻辑“0”或低电平),是否和预期的一致。(3)功能测试:功能测试检验芯片是否按照产品数据规范的要求工作。功能测试软件程序测试芯片的所有方面,它将二进制测试图形加入被测器件并验证其输出的正确性。

 

11.什么是印刷电路板(第二十章)(5分)

印刷电路板(PCB)又称为底板或载体,用焊料将载有芯片的集成电路块粘贴在板上的电路互连,同时使用连接作为其余产品的电子子系统的接口。

 

12.例举出传统装配的4个步骤。(第二十章)(5分)

传统装配的4个步骤:1.背面减薄;2.分片;3.装架;4.引线键合

 

13.例举出两种最广泛使用的集成电路封装材料。(第二十章)(5分)

两种最广泛使用的集成电路封装材料是塑料封装和陶瓷封装

 

14.例举并描述6种不同的塑料封装形式。陶瓷封装的两种主要封装方法是什么?(第二十章)(10分)

答:6种不同的塑料封装形式:(1)双列直插封装(DIP:典型有两列插孔式管脚向下弯,穿过电路板上的孔。(2)单列直插封装(SIP:DIP的替代品,用以减小集成电路组件本体所占据电路板的空间。(3)薄小型封装(TSOP:广泛用于存储器和智能卡具有鸥翼型表面贴装技术的管脚沿两边粘贴在电路板上相应的压点。(4)西边形扁平封装(QFP:是一种在外壳四边都有高密度分布的管脚表面贴装组件。(5)具有J性管脚的塑封电极芯片载体(PLCC)(6)无引线芯片载体(LCC:是一种电极被管壳周围包起来以保持低刨面的封装形式

 

15.例举出7种先进封装技术。(第二十章)(10分)

7种先进封装技术包括:(1)倒装芯片:将芯片的有源面(具有表面键合压点)面向基座的粘贴封装技术。(2)球栅阵列(BGA:与针栅阵列有相似的封装设计,有陶瓷或塑料的基座构成基座具有用于连接基座与电路板的共晶Sn/Pb焊料球的面阵列。(3)板上芯片(COB:被开发以集成电路芯片直接固定到具有其它SMTPIH组件的基座上,又被称为直接芯片粘贴。(4)卷带式自动键合(TAB:是一种I/O封装方式,它使用塑料袋作为新片载体。(5)多芯片模块(MCM:是一种将几个芯片固定在同意基座上的封装形式。(6)芯片尺寸封装(CSP:一般定义是小于芯片占地面积1.2倍的集成电路封装形式。(7)圆片级封装:是第一级互联和在划片前硅片上的封装I/O端得形成。

 

 

 

 

第九章 集成电路制造工艺概括

1.例举出芯片厂中6个不同的生产区域并对每一个生产区域做简单描述。(20分)

答:芯片厂中通常分为扩散区、光刻区、刻蚀区、离子注入区、薄膜生长区和抛光区6个生产区域:

扩散区是进行高温工艺及薄膜积淀的区域,主要设备是高温炉和湿法清洗设备;

②光刻区是芯片制造的心脏区域,使用黄色荧光管照明,目的是将电路图形转移到覆盖于硅片表面的光刻胶上;  

③刻蚀工艺是在硅片上没有光刻胶保护的地方留下永久的图形;

④离子注入是用高压和磁场来控制和加速带着要掺杂的杂质的气体;高能杂质离子穿透涂胶硅片的表面,形成目标硅片;

⑤薄膜生长主要负责生产各个步骤中的介质层与金属层的淀积。

⑥抛光,即CMP(化学机械平坦化)工艺的目的是使硅片表面平坦化。

2.离子注入前一般需要先生长氧化层,其目的是什么?(10分)

答:氧化层保护表面免污染,免注入损伤,控制注入温度。

3.离子注入后为什么要进行退火?(10分)

答:推进,激活杂质,修复损伤。

4.光刻和刻蚀的目的是什么?(20分)

答:光刻的目的是将电路图形转移到覆盖于硅片表面的光刻胶上,而刻蚀的目的是在硅片上无光刻胶保护的地方留下永久的图形。即将图形转移到硅片表面。

5.为什么要采用LDD工艺?它是如何减小沟道漏电流的?(10分)

答:沟道长度的缩短增加了源漏穿通的可能性,将引起不需要的漏电流,所以需要采用LDD工艺。轻掺杂漏注入使砷和BF2这些较大质量的掺杂材料使硅片的上表面成为非晶态。大质量材料和表面非晶态的结合有助于维持浅结,从而减少源漏间的沟道漏电流效应。

6.为什么晶体管栅结构的形成是非常关键的工艺?更小的栅长会引发什么问题?(10分)

答:因为它包括了最薄的栅氧化层的热生长以及多晶硅栅的刻印和刻蚀,而后者是整个集成电路工艺中物理尺度最小的结构。多晶硅栅的宽度通常是整个硅片上最关键的CD线宽。

随着栅的宽度不断减少,栅结构(源漏间的硅区域)下的沟道长度也不断减少。晶体管中沟道长度的减少增加了源漏间电荷穿通的可能性,并引起了不希望的沟道漏电流。

7、描述金属复合层中用到的材料?(10分)

答:采用三明治金属结构,包括:

(1)淀积Ti,使钨塞和下一层金属良好键合,层间介质良好键合;

(2)Al,Au合金,加入铜抗电迁移;

(3)TiN作为下一次光刻的抗反射层;

8STI隔离技术中,为什么采用干法离子刻蚀形成槽?(10分)

答:采用干法刻蚀,是为了保证深宽比。

 

 

 

 

第十章 氧化

1. 二氧化硅薄膜在集成电路中具有怎样的应用?(15分)

①器件保护(避免划伤和污染),因sio2致密;

②表面钝化(饱和悬挂键,降低界面态;需一定厚度,降低漏电流等);

③用作绝缘介质和隔离(LOCOS,STI如:隔离(如场氧,需要一定的厚度)、④绝缘栅(膜厚均匀,无电荷和杂质,需干氧氧化)、多层布线绝缘层、电容介质等;

⑤选择性扩散掺杂的掩膜

 

2.说明水汽氧化的化学反应,水汽氧化与干氧氧化相比速度是快还是慢?为什么?(15分)

化学反应:Si+2H2O->SiO2+2H2 

水汽氧化与干氧氧化相比速度更快,因为水蒸气比氧气在二氧化硅中扩散更 快、溶解度更高 

 

 

3.描述热氧化过程。(20分)

①干氧:SiO2 SiO2

氧化速度慢,氧化层干燥、致密,均匀性、重复性好,与光刻胶

的粘附性好

②水汽氧化:Si+H2O SiO2(固)+H2()

氧化速度快,氧化层疏松,均匀性差,与光刻胶的粘附性差

③湿氧:氧气携带水汽,故既有Si与氧气反应,又有与水汽反应

氧化速度、氧化质量介于以上两种方法之间

 

4.影响氧化速度的因素有哪些?(15)

 掺杂物、晶体晶向、压力、温度、水蒸气

 

5. 例举并描述热生长SiO2 – Si系统中的电荷有哪些?(15分)

界面陷阱电荷、可移动氧化物电荷

 

 

6.立式炉系统的五部分是什么?例举并简单描述(20)

工艺腔、硅片传输系统、气体分配系统、尾气系统、温控系统 

工艺腔是对硅片加热的场所,由垂直的石英罩钟、多区加热电阻丝和加热管 套组成硅片传输系统在工艺腔中装卸硅片,自动机械在片架台、炉台、装片台、冷却台之间移动气体分配系统通过将正确的气体通到炉管中来维持炉中气氛 控制系统控制炉子所有操作,如工艺时间和温度控制、工艺步骤的顺序、气体种类、气流速率、升降温速率、装卸硅片

 

 

 

第十一章 淀积

1.什么是薄膜?例举并描述可接受的薄膜的8个特性。(15)

薄膜:指某一维尺寸远小于另外两维上的尺寸的固体物质。

好的台阶覆盖能力 、高的深宽比填隙能力(>3:1)

厚度均匀(避免针孔、缺陷)、高纯度和高密度、受控的化学剂量 

结构完整和低应力、好的粘附性(避免分层、开裂致漏电)

 

2.例举并描述薄膜生长的三个阶段。(10分)

1)晶核形成 

分离的小膜层形成于衬底表面,是薄膜进一步生长的基础。 

2)凝聚成束 

形成(Si)岛,且岛不断长大

 3)连续成膜 

岛束汇合并形成固态的连续的薄膜 淀积的薄膜可以是单晶(如外延层)、多晶(多晶硅栅)和无定形(隔离介质,金属膜)的

 

3.什么是多层金属化?它对芯片加工来说为什么是必需的?(10分)

多层金属化:用来连接硅片上高密度器件的金属层和绝缘层

关键层:线条宽度被刻蚀为器件特征尺寸的金属层。 

对于ULSI集成电路而言,特征尺寸的范围在形成栅的多晶硅、栅氧以及距离硅片表面最近的金属层。

 介质层 

层间介质(ILD 

ILD1:隔离晶体管和互连金属层;隔离晶体管和表面杂质。 采用低k介质作为层间介质,以减小时间延迟,增加速度。

 

4.例举淀积的5种主要技术。(10分)

a.APCVD(Atmosphere Pressure Chemical Vapor Deposition)  b.LPCVD 

c.等离子体辅助CVDHDPCVD(High-Density Plasma CVD)PECVD(Plasma enhanced CVD) d.VPE和金属有机化学气相淀积 

电化学淀积(ECD)、化学镀层 物理方法: 1PVD 

(2)蒸发(MBE) 3)旋涂( SOG, SOD)

 

5.描述CVD反应中的8个步骤(15分)。

1) 质量传输 2) 薄膜先驱物反应 3) 气体分子扩散 4) 先驱物吸附 

5) 先驱物扩散进衬底 6) 表面反应 7) 副产物解吸 8) 副产物去除

 

6.例举高k介质和低k介质在集成电路工艺中的作用。(10分)

1)低k介质须具备 

低泄漏电流、低吸水性、低应力、高附着力、高硬度、 高稳定性、好的填隙能力,便于图形制作和平坦化、耐 酸碱以及低接触电阻。 

研究较多的几种无机低介电常数 

(二)高k介质 

DRAM存储器高密度储能的需要,引入了高 k介质,在相同电容(或储能密度)可以增加 栅介质的物理厚度,避免薄栅介质隧穿和大的 栅漏电流。同时,降低工艺难度。 

有潜力的高k介质:Ta2O5, (BaSr)TiO3.

 

7、名词解释:CVDLPCVDPECVDVPEBPSG。(将这些名词翻译成中文并做出解释)10分)

1CVD、化学气相淀积(Chemical Vapor Deposition)是指利用热 能、辉光放电等离子体或其它形式的能源,使气态物质在固体 的热表面上发生化学反应并在该表面上淀积,形成稳定的固态 物质的工艺过程。 

2)低压CVDLPCVD)装片; 炉子恒温并对反应室抽真空到1.3 Pa ;充N2 气或 其它惰性气体进行吹洗;再抽真空到1.3 Pa ;完成淀积;关闭所有气流,反应室重新抽到1.3 Pa ;回充N2 气到常压,取出硅片。 

3)等离子体增强CVDPECVD)淀积温度低,冷壁等离子体反应,产生颗粒少,需要 少的清洗空间等等离子体辅助CVD的优点。 

4VPE气相外延:硅片制造中最常用的硅外延方法是气相外延,属于CVD范畴。在温度为800-1150℃的硅片表面通过含有所需化学物质的气体化合物,就可以实现气相外延。 

5BPSG 硼磷硅玻璃(boro-phospho-silicate-glassBPSG):   这是一种掺硼的SiO2玻璃。可采用CVD方法(SiH4+O2+PH3+B2H6400oC~450oC)来制备。BPSGPSG(磷硅玻璃)一样,在高温下的流动性较好,广泛用作为半导体芯片表面平坦性好的层间绝缘膜

8、质量输运限制CVD和反应速度限制CVD工艺的区别?10分)

1、质量传输限制淀积速率 淀积速率受反应物传输速度限制,即不能提供足够的反应物到衬底表面,速率对温度不敏感(如高压CVD)。 

2、反应速度限制淀积速率 

淀积速率受反应速度限制,这是由于反应温度或压力过低(传输速率快),提供驱动反应的能量不足,反应速率低于反应物传输速度。 可以通过加温、加压提高反应速度。

 

 

9、采用LPCVD TEOS淀积的是什么膜?这层膜的优点是什么?10分)

多晶硅薄膜

TEOS(正硅酸乙酯)-臭氧方法淀积SiO2 SiC2H5O4)+8O3 SiO210H2O8CO2 优点:a、低温淀积; 

b、高的深宽比填隙能力; c、避免硅片表面和边角损伤; 

 

 

 

 

第十二章 金属化 第十八章 化学机械平坦化

1.解释下列名词:互连、接触、通孔和填充塞(第十二章)(10分)

1)互连:由导电材料,如铝、多晶硅和铜制成的连线将电信号传输到芯片的不同部分。互连也被用于芯片上器件和器件整个封装之间的金属连接。(2)接触:硅芯片内部的器件与第一金属层间在硅片表面的连接。(3)通孔:穿过各种介质从某一金属层到毗邻金属层形成电通路的开口。(4)填充薄膜:用金属薄膜填充通孔以便在两层金属间形成电连接

 

2.例举并描述金属用于硅片制造的7种要求。(第十二章)(10分)

答:金属用于硅片制造的七个要求:1.导电率:为维持电性能的完整性,必须具有高电导率,能够传导高电流密度。2.粘附性:能够粘附下层衬底,容易与外电路实现电连接。与半导体和金属表面连接时接触电阻低。 3.淀积:易于淀积并经相对的低温处理后具有均匀的结构和组分(对于合金)。能够为大马士革金属化工艺淀积具有高深宽比的间隙。4.刻印图形/平坦化:为刻蚀过程中不刻蚀下层介质的传统铝金属化工艺提供具有高分辨率的光刻图形;大马士革金属化易于平坦化。5.可靠性:为了在处理和应用过程中经受住温度循环变化,金属应相对柔软且有较好的延展性。6.抗腐蚀性:很好的抗腐蚀性,在层与层之间以及下层器件区具有最小的化学反应。7.应力:很好的抗机械应力特性以便减少硅片的扭曲和材料失效,比如断裂、空洞的形成和应力诱导腐蚀。

 

3.解释铝已经被选择作为微芯片互连金属的原因(第十二章)(10分)

答:(1)铝与P型硅及高浓度N型硅均能形成低欧姆接触;(2)电阻率低 (3)与SiO2粘附性强,无需粘附层-----铝很容易和二氧化硅反应,加热形成氧化铝;(4)能单独作为金属化布线,工艺简单;(5)能用电阻丝加热蒸发,工艺简单;(6)铝互连线与内引线键合容易;(7)能轻易淀积在硅片上,可用湿法刻蚀而不影响下层薄膜。综上所述,在硅IC制造业中,铝和它的主要过程是兼容的,电阻低,可不加接触层、粘附层和阻挡层等,工艺简单,产品价格低廉。

 

4.例举并讨论引入铜金属化的五大优点(第十二章)(10分)

1.答:1.电阻率的减小。在20℃时,互连金属线的电阻率从铝的2.65μΩ-㎝减小到铜的1.678μΩ-㎝,减少RC延迟,增加芯片速度;2.减少了功耗。减少了线的宽度,降低了功耗;3.更高的集成密度。更窄的线宽,允许更高密度的电路集成,这意味着需要更少的金属层。4.良好的抗电迁徙性能。铜不需要考虑电迁徙问题。5.更少的工艺步骤。用大马士革方法处理铜具有减少工艺步骤20%30%的潜力。

 

5.什么是阻挡层金属?阻挡层材料的基本特征是什么?哪种金属常被用作阻挡层金属?(第十二章)(15分)

答:阻挡层金属是淀积金属或金属塞,作用是阻止层上下的材料互相混合。     可接受的阻挡层金属的基本特征是:①好的阻挡扩散特性;②高电导率具有很低的欧姆接触电阻;③与半导体和金属接触良好;④抗电迁移;⑤膜薄和高温下稳定性好;⑥抗腐蚀和氧化。通常用作阻挡层的金属是一类具有高熔点且被认为是难熔的金属。在硅片制造业中,用于多层金属化的普通难熔金属有钛、钨、钽、钼、钴和铂。难溶金属已经被用于硅片制造业,如双极工艺的肖特基势垒二极管的形成。钛钨和氮化钛也是两种普通的阻挡层金属材料,它们禁止硅衬底和铝之间的扩散。

 

6.什么是硅化物?难熔金属硅化物在硅片制造业中重要的原因是什么?(第十二章)(10分)

答:硅化物是难熔金属与硅反应形成的金属化合物,是一种具有热稳定性的金属化合物,并且在硅/难熔金属的分界面具有低的电阻率。难熔金属硅化物的优点和其作用:1、降低接触电阻,2、作为金属与有源层的粘合剂。3、高温稳定性好,抗电迁移性能好4、可直接在多晶硅上淀积难熔金属,经加温处理形成硅化物,工艺与现有硅栅工艺兼容。

 

7.描述RF溅射系统。(第十二章)(15分)

答:在RF溅射系统中,等离子体是由RF场而非DC场产生的。RF频率通常为13.56MHz,加在靶电极的背面并通过电容耦合到前面。等离子体中的电子和离子都处在RF场得作用之下,但由于高频的缘故,电子的响应最强烈。腔体和电极的作用像一个二极管产生大量的电子流,导致负电荷堆积在靶电极上。这些负电荷(自由偏置产生)吸引正的氩离子引起对绝缘或非绝缘靶材料的溅射。硅片能够被电偏置在与氩离子不同的场势。加在硅片上的偏置引起氩原子直接轰击硅片。RF偏置允许露在外面的硅片被刻蚀和清理。实际上,由于RF溅射系统的溅射产额不高,导致它的淀积速率低,因此应用受到限制。有靶发射的许多二次电子穿过放射区,对等离子体的产生没有贡献。如果这些电子被限制与离子碰撞,导致更多的离子产生以轰击靶,那么它的溅射率将高得多。在硅片制造业中为克服低效率,并取得高的金属淀积速率,磁控溅射的概念需要发展

 

8.例举双大马士革金属化过程的10个步骤。(第十二章)(10分)

答:(1SiO2淀积:PECVD淀积内层氧化硅到希望的厚度。(2SiN刻蚀阻挡层淀积:250?SiN刻蚀阻挡层被淀积在内层氧化硅上。SiN需要致密,没有针孔,因此使用HDPCVD。(3)确定通孔图形和刻蚀:光刻确定图形、干法刻蚀通孔窗口进入SiN中,刻蚀完成后去掉光刻(4)淀积保留介质的SiO2:为保留层间介质,PECVD氧化硅淀积。(5)确定互连图形:光刻确定氧化硅槽图形,带胶。在确定图形之前将通孔窗口放在槽里。(6)刻蚀互连槽和通孔。(7)淀积阻挡层金属:在槽和通孔的底部及侧壁用离子化的PCVD淀积钽和氮化钽扩散层。(8)淀积铜种子层:CVD淀积连续的铜种子层,种子层必须是均匀的并且没有针孔。(9)淀积铜填充:ECD淀积铜填充,即填充通孔窗口也填充槽。(10)用CMP清除额外的铜:用化学机械平坦清除额外的铜。

 

9.描述化学机械平坦化工艺。(第十八章)(10分)

CMP:通过比去除低处图形更快的速率去除高处图形以获得均匀表面,是一种化学和机械作用结合的平坦化过程。它通过硅片和一个跑光头之间的相对运动来平坦化硅片表面,在硅片和抛光头之间有磨料,并同时施加压力。CMP设备也常称为抛光机。在一台抛光机中,硅片放在一个硅片固定器或载片头上,并面向转盘上的抛光垫。硅片和抛光垫之间的相对运动由设备制造商进行不同的控制。大部分抛光机都采用旋转运动或轨道运动

 

 

 

 

第十三章、十四章、十五章 光刻

1.解释正性光刻和负性光刻的区别?(第十三章)为什么正胶是普遍使用的光刻胶?最常用的正胶是指哪些胶?(第十五章)(10分)

正性光刻把与掩膜版上相同的图形复制到硅片上,负性光刻把与掩膜版上图形相反的图形复制到硅片表面,这两种基本工艺的主要区别在于所用的光刻胶的种类不同。正刻胶在进行曝光后留下来的的光刻胶在曝光前已被硬化,它将留在硅片表面,作为后步工艺的保护层,不需要改变掩膜版的极性,并且负性光刻胶在显影时会变形和膨胀,所以正胶是普遍使用的光刻胶传统的I线光刻胶,深紫外光刻胶

 

2.解释什么是暗场掩模板。(第十三章)(5分)

暗场掩膜版是指一个掩膜版,它的石英版上大部分被铬覆盖,并且不透光

 

3.例出光刻的8个步骤,并对每一步做出简要解释。(第十三章)(15分)

第一步:气相成底膜处理,其目的是增强硅片和光刻胶之间的粘附性。    

第二步:旋转涂胶,将硅片被固定在载片台上,一定数量的液体光刻胶滴在硅片上,然后硅片旋转得到一层均匀的光刻胶图层    

第三步:软烘,去除光刻胶中的溶剂 

 第四步:对准和曝光,把掩膜版图形转移到涂胶的硅片上 

第五步:曝光后烘培,将光刻胶在100110的热板上进行曝光后烘培   

 第六步:显影,在硅片表面光刻胶中产生图形 

 第七步:坚膜烘培,挥发掉存留的光刻胶溶剂,提高光刻胶对硅片表面的粘附性 

第八步:显影后检查,检查光刻胶图形的质量,找出有质量问题的硅片,描述光刻胶工艺性能以满足规范要求

 

4.在硅片制造中光刻胶的两种目的是什么?(第十三章)(5分)

一,将掩膜版图案转移到硅片表面顶层的光刻胶中  

二,在后续工艺中,保护下面的材料

 

5.例举并描出旋转涂胶的4个基本步骤(第十三章)(5分)

1,分滴,当硅片静止或者旋转得非常缓慢时,光刻胶被分滴在硅片上    

2,旋转铺开,快速加速硅片的旋转到一高的转速使光刻胶伸展到整个硅片表面 

 3,旋转甩掉,甩去多余的光刻胶,在硅片上得到均匀的光刻胶胶膜覆盖层   

 4,溶剂挥发,以固定转速继续旋转已涂胶的硅片,直至溶剂挥发,光刻胶胶膜几乎干燥 

 

6.描述曝光波长和图像分辨率之间的关系(第十四章)(5分) 

减少曝光光源的波长对提高分辨率非常重要,波长的越小 图像的分辨率就越高 图像就越精确

 

7.例举并描述光刻中使用的两种曝光光源(第十四章)(5分)

汞灯,高压汞灯,电流通过装有氙汞气体的管子产生电弧放电,这个电弧发射出一个特征光谱,包括240纳米到500纳米之间有用的紫外辐射准分子激光,准分子是不稳定分子 是有惰性气体原子和卤素构成 只存在与准稳定激发态

 

8.光学光刻中影响图像质量的两个重要参数是什么?(第十四章)(5分)

4.分辨率和焦深

 

 9.解释扫描投影光刻机是怎样工作的?扫描投影光刻机努力解决什么问题?(第十四章)(10分)

扫描投影光刻机的概念是利用反射镜系统把有1:1图像的整个掩膜图形投影到硅片表面,其原理是,紫外光线通过一个狭缝聚焦在硅片上,能够获得均匀的光源,掩膜版和带胶硅片被放置在扫描架上,并且一致的通过窄紫外光束对硅片上的光刻胶曝光 由于发生扫描运动,掩膜版图像最终被光刻在硅片表面。 扫描光刻机主要挑战是制造良好的包括硅片上所有芯片的一倍掩膜版

 

10.光刻中采用步进扫描技术获得了什么好处?(第十四章)(5分)

增大了曝光场,可以获得较大的芯片尺寸,一次曝光可以多曝光些芯片,它还具有在整个扫描过程调节聚焦的能力

 

11.给出投影掩模板的定义。投影掩模板和光掩模板的区别是什么?(第十四章)(10分)

投影掩膜版是一种透明的平板,在它上面有要转印到硅片上光刻胶层的图形。投影掩膜版只包括硅片上一部分图形,而光掩膜版包含了整个硅片的芯片阵列并且通过单一曝光转印图形

 

12.解释光刻胶显影。光刻胶显影的目的是什么?(第十五章)(5)

光刻胶显影是指用化学显影液溶解由曝光造成的光刻胶的可溶解区域,其主要目的是把掩膜版图形准确复制到光刻胶中

 

13.解释光刻胶选择比。要求的比例是高还是低?(第十五章)(5)

光刻胶选择比是指显影液与曝光的光刻胶反应的速度快慢,选择比越高,反应速度越快,所以要比例高

 

14.例举出两种光刻胶显影方法。例举出7种光刻胶显影参数。(第十五章)(10分)

连续喷雾显影,旋覆浸没显影  显影温度,显影时间,显影液量,硅片洗盘,当量浓度,清洗,排风

 

第十六章 刻蚀

1.刻蚀工艺有哪两种类型?简单描述各类刻蚀工艺(10分)

刻蚀工艺:干法刻蚀和湿法刻蚀。干法刻蚀是把硅片表面曝露于气态中产生的等离子体,等离子体通过光刻胶中开出的窗口,与硅片发生物理或化学反应(或这两种反应),从而去掉曝露的表面材料,一般用于亚微米尺寸。 湿法刻蚀中,液体化学试剂(如酸、碱和溶剂等)以化学方式去除硅片表面的材料,一般用于尺寸较大的情况下(大于3微米)。

 

2.定义刻蚀速率并描述它的计算公式。为什么希望有高的刻蚀速率?(10分)

刻蚀速率=T/tA/min   T=去掉材料的厚度    t=刻蚀所用的时间 高的刻蚀速率,可以通过精确控制刻蚀时间来控制刻蚀的厚度。

3.定义刻蚀选择比。干法刻蚀的选择比是高还是低?高选择比意味着什么?(10分)

刻蚀选择比SR=EF/Er               EF=被刻蚀材料的速率          Er=掩蔽层材的刻蚀速率     干法刻蚀的选择比低    高选择比意味着只刻除想要刻去的那一层材料,一个高选择比的刻蚀工艺不刻蚀下面一层材料并且保护的光刻胶也未被刻蚀。 

 

4.干法刻蚀的目的是什么?例举干法刻蚀同湿法刻蚀相比具有的优点。干法刻蚀的不足之处是什么?(10分)

干法刻蚀的主要目的是完整地把掩膜图形复制到硅片表面上。 干法刻蚀的优点:1.刻蚀剖面是各向异性,具有非常好的侧壁剖面控制 2.好的CD控制 3.最小的光刻胶脱落或粘附问题  4.好的片内、片间、批次间的刻蚀均匀性  5.较低的化学制品使用和处理费用    缺点:对层材料的差的刻蚀选择比、等离子体带来的器件损伤和昂贵的设备 

 

5.解释发生刻蚀反应的化学机理和物理机理。(15分)

在纯化学机理中,等离子体产生的反应元素(自由基和反应原子)与硅片表面的物质发生应。物理机理的刻蚀中,等离子体产生的带能粒子(轰击的正离子)在强电场下朝硅片表面加速,这些离子通过溅射刻蚀作用去除未被保护的硅片表面材料。

 

6.描述一个等离子体干法刻蚀系统的基本部件。二氧化硅、铝、硅和光刻胶刻蚀分别使用什么化学气体?(15分)

基本部件:发生刻蚀反应的反应腔,一个产生等离子体的射频电源,气体流量控制系统,去除刻蚀生成物和气体的真空系统。 

氟刻蚀二氧化硅,氯和氟刻蚀铝,氯,氟和溴刻蚀硅,氧去除光刻胶 

 

7.描述电子回旋共振(ECR)(10分)

ECR反应器在1~10毫托的工作压力下产生很密的等离子体。它在磁场环境中采用2.45GHZ微波激励源来产生高密度等离子体。ECR反应器的一个关键点是磁场平行于反应剂的流动方向,这使自由电子由于磁力的作用做螺旋运动。当电子的回旋频率等于所加的微波电场频率时,能有效地把电能转移到等离子体中的电子上。这种振荡增加了电子碰撞的可能性,从而产生高密度的等离子体,获得大的离子流。这些反应离子朝硅片表面运动并与表面层反应而引起刻蚀反应。

 

8.哪种化学气体经常用来刻蚀多晶硅?描述刻蚀多晶硅的三个步骤。(10分)

多晶硅等离子刻蚀用的化学气体通常是氯气、溴气或二者混合气体。  刻蚀多晶硅的三步工艺:1.预刻蚀,用于去除自然氧化层、硬的掩蔽层和表面污染物来获得均匀的刻蚀。 2.接下来的是刻至终点的主刻蚀。这一步用来刻蚀掉大部分的多晶硅膜,并不损伤栅氧化层和获得理想的各向异性的侧壁剖面。 3.最后一步是过刻蚀,用于去除刻蚀残留和剩余多晶硅,并保证对栅氧化层的高选择比。这一步应避免在多晶硅周围的栅氧化层形成微槽。

9.叙述氮化硅的湿法化学去除工艺。(10分)

去除氮化硅使用热磷酸进行湿法化学剥离掉的。这种酸槽一般始终维持在160°  C左右并对露出的氧化硅具有所希望的高选择比。用热磷酸去除氮化硅是难以控制的,通过使用检控样片来进行定时操作。在曝露的氮化硅上常常会形成一层氮氧化硅,因此在去除氮化硅前,需要再HF酸中进行短时间处理。如果这一层氮氧化硅没有去掉,或许就不能均匀地去除氮化硅

 

 

 

 

第十七章  离子注入

1.什么是掺杂?例举四种常用的掺杂杂质并说明它们是n型还是p型。(15分)

掺杂是把杂质引半导体材料的近体结构中,以改变它的电学性质(如电阻率),并使掺入的杂质数量和分布情况都满足要求。

常用的掺杂杂质:硼(p型)、磷(n型)、锑(n型)、砷(n型)。

2.什么是结深?(10分)

硅片中p型杂质和n型杂质相遇的深度被称为结深。 

 

3.例举并解释硅中固态杂质扩散的三个步骤。(15分)

硅中固态杂质扩散的三个步骤:

(1)预淀积:表面的杂质浓度浓度最高,并随着深度的加大而减小,从而形成梯度。这种梯度使杂质剖面得以建立   

(2)推进:这是个高温过程,用以使淀积的杂质穿过硅晶体,在硅片中形成期望的结深 

(3)激活:这时的温度要稍微提升一点,使杂质原子与晶格中的硅原子键合形成替位式杂质。这个过程激活了杂质原子,改变了硅的电导率。

4.例举离子注入工艺和扩散工艺相比的优点和缺点。(20分)

离子注入的优点: 

  (1)精确控制杂质含量和分布  2)很好的杂质均匀性 

 3)对杂质穿透深度有很好的控制  4)产生单一离子束  5)低温工艺 

 6)注入的离子能穿透薄膜  7)无固溶度极限  

 离子注入的缺点: 

 1)高能杂质离子轰击硅原子将对晶体结构产生损伤  2)注入设备的复杂性 

 

5.例举离子注入设备的5个主要子系统。(15分)

1)离子源:待注入物质必须以带电粒子束或离子束的形式存在。注入离子在离子源中产生 

2)引出电极(吸极)和离子分析器:传统注入机吸极系统收集离子源中产生的所有正离子并使它们形成粒子束,离子通过离子源上的一个窄缝得到吸收。  

3)加速管:为了获得更高的速度,出了分析器磁铁,正离子还要再加速管中的电场下进行加速 

 4)扫描系统扫描在剂量的统一性和重复性方面起着关键租用。  

5)工艺室------离子束向硅片的注入发生在工艺腔中。

6.离子源的目的是什么?最常用的离子源是什么?(15分)

目的:使待注入的物质以带电粒子束的形式存在   

最常用的源:Freeman离子源和Bernas离子源 

 

7.解释离子束扩展和空间电荷中和。(10分)

由于电荷之间的相互排斥,所以一束仅包括正电荷的离子束本身是不稳定的,容易造成离子束的膨胀即离子束的直径在行进过程中不断的增大,最终导致注入不均匀。离子束可以用二次电子中和离子的方法缓解,被称为空间电荷中和

 

 

 

常用术语翻译

active region 有源区

2.active component有源器件 

3.Anneal退火

4.atmospheric pressure CVD (APCVD) 常压化学气相淀积

5.BEOL(生产线)后端工序 

6.BiCMOS双极CMOS

7.bonding wire 焊线,引线

8.BPSG 硼磷硅玻璃

9.channel length沟道长度

10.chemical vapor deposition (CVD) 化学气相淀积

11.chemical mechanical planarization (CMP)化学机械平坦化

12.damascene 大马士革工艺

13.deposition淀积

 14.diffusion 扩散

15.dopant concentration掺杂浓度

16.dry oxidation 干法氧化

17.epitaxial layer 外延层

18.etch rate 刻蚀速率

19.fabrication制造

20.gate oxide 栅氧化硅

21.IC reliability 集成电路可靠性

22.interlayer dielectric 层间介质(ILD)

23.ion implanter 离子注入机

24.magnetron sputtering 磁控溅射

25.metalorganic CVD(MOCVD)金属有机化学气相淀积

26.pc board 印刷电路板

27.plasma enhanced CVD(PECVD) 等离子体增强CVD

28.polish 抛光

29.RF sputtering 射频溅射

30.silicon on insulator绝缘体上硅(SOI)

本站仅提供存储服务,所有内容均由用户发布,如发现有害或侵权内容,请点击举报
打开APP,阅读全文并永久保存 查看更多类似文章
猜你喜欢
类似文章
【热】打开小程序,算一算2024你的财运
半导体工艺学习笔记
浅谈现代集成电路28nm芯片制造工艺A(前端FEOL)
02 芯片微纳制造技术
双阱工艺.ppt
芯片制造
半导体技术之-PVD
更多类似文章 >>
生活服务
热点新闻
分享 收藏 导长图 关注 下载文章
绑定账号成功
后续可登录账号畅享VIP特权!
如果VIP功能使用有故障,
可点击这里联系客服!

联系客服