打开APP
userphoto
未登录

开通VIP,畅享免费电子书等14项超值服

开通VIP
VHDL程序的结构体

 

 

VHDL 并置操作符 VHDL提供了一种并置操作符 , 它的符号如下所示:

 

&-用来进行位和位矢量的连接运算

 

这里 ,所谓位和位矢量的连接运算是指将并置操作符右边的内容接在左边的内容之后以形成一个新的位矢量.

 

通常采用并置操作符进行连接的方式很多: 既可以将两个位连接起来形成一个位矢量 ,也可以将两个位矢量连接起来以形成一个新的位矢量,还可以将位矢量和位连接起来形成一个新的矢量。例如:

 

SIGNAL a, b:std_logic;

 

SIGNAL c: std_logic_vector (1 DOWNTO0);

 

SIGNAL d, e: std_logic_vector (3 DOWNTO0);

 

SIGNAL f: std_logic_vector (5 DOWNTO0);

 

SIGNAL g: std_logic_vector (7 DOWN TO0);

 

c<=a & b;两个位连接

 

f<= a & d;位和一个位矢量连接

 

采用并置操作符的过程中 ,设计人员常常采用一种称为聚合连接的方式。聚合连接就是

 

将上面直接连接中的并置操作符换成逗号,然后再使用括号将连接的位括起来。

 

例如 : SIGNAL a, b, c, d: std_logic;

 

SIGNAL q: std_logic_ vector (4 DOWNTO0);

 

q<=a&b&c&d&a;

 

若采用聚合连接的方式 ,那么可以写成如下几种形式 :

 

q<= (a, b, c, d, a);

 

q<= (4 = > a, 3 = > b,2 = > c, 1 = > d, 0 => a);

 

q<= (3 = > b, 2 = > c,1 = > d, OTHERS = >a);

 

本站仅提供存储服务,所有内容均由用户发布,如发现有害或侵权内容,请点击举报
打开APP,阅读全文并永久保存 查看更多类似文章
猜你喜欢
类似文章
【热】打开小程序,算一算2024你的财运
《数字系统设计》第九章 VHDL可综合性
转帖-VHDL语法学习(1)--初步认识VHDL
EDA期末考试卷及参考答案
逻辑种定义的分类 三人行范文网 www.3rxing.org
简易电子琴的设计
vhdl 与门
更多类似文章 >>
生活服务
热点新闻
分享 收藏 导长图 关注 下载文章
绑定账号成功
后续可登录账号畅享VIP特权!
如果VIP功能使用有故障,
可点击这里联系客服!

联系客服