打开APP
userphoto
未登录

开通VIP,畅享免费电子书等14项超值服

开通VIP
【精品博文】FPGA定点小数计算(Verilog版)第五篇——浮点小数转换为定点小数

用FPGA实现定点运算,相对于浮点运算来说,开销要小很多(时间上和空间上的)。但是在某些特定的场合,如多机协同处理等,要求FPGA的输入数据(或者是输出数据)为浮点形式的数据,这是就需要我们来做一个浮点小数与定点小数之间的转换了。

本文为本次定点小数相关博文连载的第五篇,后面的写作计划分别为:第六篇——平方根运算(查表法);第七篇——平方根运算(牛顿迭代法,使用John Carmack方法)。

和前面一样的套路,开始贴图模式:

首先是float2fixed.v:

然后是Testbench:

使用Syplify Pro综合获得的RTL视图:(太长了,贴了也看不清楚,所以不贴了!)

使用Diamond得到的:

下面是ActiveHDL的脚本文件:

功能仿真的波形图如下:

本站仅提供存储服务,所有内容均由用户发布,如发现有害或侵权内容,请点击举报
打开APP,阅读全文并永久保存 查看更多类似文章
猜你喜欢
类似文章
【热】打开小程序,算一算2024你的财运
FPGA定点小数计算第四篇——定点小数转换为浮点小数
Q15格式说明
什么是定点DSP芯片和浮点DSP芯片?各有何特点?到底有什么区别?
关于定点和浮点?
基于IQmath库的定点DSP算法设计 - 21IC中国电子网
2.2 浮点数类型
更多类似文章 >>
生活服务
热点新闻
分享 收藏 导长图 关注 下载文章
绑定账号成功
后续可登录账号畅享VIP特权!
如果VIP功能使用有故障,
可点击这里联系客服!

联系客服