打开APP
userphoto
未登录

开通VIP,畅享免费电子书等14项超值服

开通VIP
宝藏级EDA工具网站-edaplayground
userphoto

2023.08.30 湖北

关注

1、网站说明 

2、操作步骤 

准备工作:

    使用邮箱注册账号,账号注册简单,麻烦的是需要使用公司邮箱,如@163.com,qq邮箱都是无法注册的。需要的同学可以找朋友师兄师姐帮忙注册一下,或者上淘宝买一个非实名的公司邮箱。

使用VCS编译verilog代码,进行波形debug的流程

第一步:选择systemverilog/verilog选项

第二步:在Tools&Simulation 选择VCS

第三步:勾上Open EPwave after run和show output files after run(此项不是必须)、添加output filename(随意起一个名字就行)

第四步:在design.v添加verilog代码

第五步:在testbench.v添加测试代码(需要有dump语句)

第六步:如果点击run,等待结果NOTE:如果不需要testbench仿真,仅仅进行verilog编译,则不需要第三步和第五步。

Edaplayground不仅可以进行VCS仿真,还可以进行综合。点击examples展开,可以看到有众多案例,点击Verilog/systemverilog可以看到众多案例。综合案例网页如下:https://www.edaplayground.com/x/2BmJ

3、总结说明

Edaplayground使用便捷方便,但是也存在一些缺点,例如网页反应较慢,无法像linux系统中使用EDA工具的各类功能,不过仍是一个非常棒的工具网站。快去尝试一下吧,感受惊喜!


本站仅提供存储服务,所有内容均由用户发布,如发现有害或侵权内容,请点击举报
打开APP,阅读全文并永久保存 查看更多类似文章
猜你喜欢
类似文章
【热】打开小程序,算一算2024你的财运
ASIC设计学习总结之工具及书籍文档
数字IC设计入门方法与资料大全
電子設計自動化
芯片设计:数字芯片设计
如何成长为一名优秀的FPGA 工程师?
IC设计常用软件介绍
更多类似文章 >>
生活服务
热点新闻
分享 收藏 导长图 关注 下载文章
绑定账号成功
后续可登录账号畅享VIP特权!
如果VIP功能使用有故障,
可点击这里联系客服!

联系客服